Thread Rating:
  • 1 Vote(s) - 5 Average
  • 1
  • 2
  • 3
  • 4
  • 5
China's 28nm-Capable Chip Fabbing Tool on Track Amid Trade War
#1
Information 
Quote:
[Image: oRF9tAig4biYyvFb7o4gWj-1024-80.jpg.webp]

China gets one step closer for semiconductor self-sufficiency

Shanghai Micro Electronic Equipment (SMEE) is reportedly on track to deliver its second-gen deep ultraviolet (DUV) lithography scanner by the fourth quarter of 2021, according to a media report. The tool can produce chips using 28 nm process technologies and relies on components produced in China and Japan.

Therefore, the tool does not rely on devices made in the USA, which is increasingly important amid the ongoing trade war between China and the U.S that has found the US barring China-based companies from purchasing some types of chip fabrication equipment. 

There are a number of fairly competitive producers of semiconductors in China that make chips developed in the country using fabrication technologies designed in Tianxia. But all of these companies use production equipment developed and made in other countries, such as Japan, the Netherlands, and the U.S.
 
As part of its multi-faceted plan to make its semiconductor industry self-sufficient, China is not only encouraging chip development and local manufacturing, but also supports the fabrication of semiconductor production equipment. 

Founded in 2002, SMEE is a highly-integrated developer and manufacturer of semiconductor production equipment (and a provider or support services) that makes a broad range of products that includes scanners and inspection tools. Today, SMEE's most advanced devices are its 600-series scanners that can be used to make chips using 0.28-micron (280 nm), 0.11-micron (110 nm), and 0.09-micron (90 nm) process technologies.  

The top-of-the-range SMEE SSA600/20 machine is an immersion deep ultraviolet lithography tool that is equipped with a 193-nm argon fluoride (ArF) laser.

Companies like Intel and TSMC started to use immersion DUV lithography back in 2004, so the SSA600/200 can hardly be called a leading-edge piece of equipment.

The successor of the SSA600/20 machine will continue to use an ArF light source, but for considerably thinner process technologies. That upcoming scanner promises to be advanced enough to make chips using a 28nm process technology, according to Verdict. Evidently, these scanners could be used for 40 nm-class, as well as 55nm/65nm fabrication processes, which are quite popular for multiple applications. By 2023, SMEE wants to produce machines good enough for a 20 nm node, the report says. The upcoming scanners are said to use certain components made in Japan, but they don't use any ingredients from the USA. 

TSMC adopted 28 nm process technology back in 2011 using tools from ASML, so even when SMEE ships its 28 nm-capable scanner in Q4 2011, it will still be more than a decade behind the world's No. 1 supplier of lithography tools. Meanwhile, 28 nm process technology is used rather widely today and will continue to be used for many years to come for chips that do not need FinFET transistors. For example, TV maker Konka Group last month disclosed plans to build a $4.5 billion semiconductor industrial park with the local authorities in Nanchang, eastern Jiangxi province. TVs and consumer electronics hardly need leading-edge process technologies, so a 28nm node might be good enough for them. Therefore, SMEE's upcoming DUV tool promises to become an important workhorse for SMEE's customers.  

Shanghai Micro Electronic Equipment has been producing scanners for years, so it is likely that it will be able to assemble a significant number of its next-generation scanners to equip an advanced fab. Meanwhile, chipmakers that have already adopted their 28 nm process technologies using scanners from ASML and Nikon will likely have to redesign their nodes to use SMEE's new tools. Therefore, while advanced Chinese scanners are about a year away, it will take quite some time before they will be widely adopted by the local semiconductor industry.  

SMEE's next-generation ArF DUV scanner is certainly a step towards China's self-sufficiency in the semiconductor industry. Meanwhile, there is one more critical element that the country yet has to develop in a bid not to depend on technologies from overseas: All advanced electronic design automation (EDA) tools that are crucial for modern chip development come from companies based in the USA. Until Chinese companies can create tools that are competitive with those from Cadence, Mentor Graphics, or Synopsys, all the chips developed in China will be designed using software from the U.S.
...
Continue Reading
[-] The following 1 user says Thank You to harlan4096 for this post:
  • silversurfer
Reply


Forum Jump:


Users browsing this thread: 1 Guest(s)
[-]
Welcome
You have to register before you can post on our site.

Username/Email:


Password:





[-]
Recent Posts
Microsoft Edge fixes 0-day vulnerability...
Microsoft released...harlan4096 — 10:12
AnyDesk 8.0.9
AnyDesk 8.0.9:   ...harlan4096 — 10:10
AMD Confirms RDNA 3+ GPU Architecture F...
AMD Zen5-based Strix...harlan4096 — 10:08
Adobe Acrobat Reader DC 24.001.20629 (Op...
Adobe Acrobat Read...harlan4096 — 10:06
FastCopy 5.7.5
FastCopy 5.7.5: ...harlan4096 — 10:04

[-]
Birthdays
Today's Birthdays
No birthdays today.
Upcoming Birthdays
No upcoming birthdays.

[-]
Online Staff
There are no staff members currently online.

>